Tang Nano Examples

  • Tang Nano
  • example
  • guide
Update history
Date Version Author Update content
2023-01-09 wonder
  • Add Tang Nano 9K Lushay Labs link

Here are Tang Nano series examples and continuously updated

Preface

Basic guide to use Tang FPGA.


Tang Nano

  • The onboard downloader is ch552

Github: https://github.com/sipeed/Tang-Nano-examples


Tang Nano 1K

Github: https://github.com/sipeed/TangNano-1K-examples


Tang Nano 4K

Github: https://github.com/sipeed/TangNano-4K-example

Other example


Tang Nano 9K

Github: https://github.com/sipeed/TangNano-9K-example

Partner tutorials:
lushaylab_logo

Lushay Labs are willing to make series around ethernet, graphics and GPU basics, and in the future there is 2 processor project.

Those who are interested in these projects can read the following guides provided by Lushay Labs, and if you want to join these projects, contact to contact@lushaylabs.com.

  1. Installation & Getting Started
  2. Debugging & UART
  3. OLED 101
  4. Creating a Text Engine
  5. Data Conversion & Visualization
  6. Reading from the External Flash
  7. Generating Random Numbers
  8. Sharing Resources
  9. I2C, ADC and Micro Procedures
  10. Our First CPU
  11. Read HDMI EDID

Other Blogs

Tang Nano 20K

Github: https://github.com/sipeed/TangNano-20K-example

End

If you have questions to discuss, join the reddit or telegram group. Leave message in this site is also ok.

Reddit: https://www.reddit.com/r/GowinFPGA
Telegram: https://t.me/sipeed

Troubles

Here are the most common troubles or questions we gathered: Tang Solutions